Utilisation d'une nouvelle génération de graves hautement accordables

Blog

MaisonMaison / Blog / Utilisation d'une nouvelle génération de graves hautement accordables

Mar 28, 2023

Utilisation d'une nouvelle génération de graves hautement accordables

Les performances diélectriques améliorées au niveau le plus fondamental des circuits intégrés peuvent avoir

Des performances diélectriques améliorées au niveau le plus fondamental des circuits intégrés peuvent avoir un effet de levier stratégique considérable dans le développement de mémoires et de circuits logiques, aujourd'hui et demain

Imaginez que vous êtes dans une grande salle remplie de personnes, chacune d'entre elles ayant une information importante dont vous avez besoin. Tous vous diront volontiers ce qu'ils savent, mais il y a un problème : ils parlent tous en même temps. Plus la pièce est dense, plus il est difficile de distinguer les mots de la personne sur laquelle vous essayez de vous concentrer de la cacophonie environnante.

Le problème est la diaphonie, définie par Wikipédia comme "tout phénomène par lequel un signal transmis sur un circuit ou un canal d'un système de transmission crée un effet indésirable dans un autre circuit ou canal". Et si vous êtes dans le domaine de la fabrication de mémoires et de dispositifs logiques avec des milliards de cellules DRAM ou de transistors logiques extrêmement proches, vous êtes confronté à une situation qui ressemble beaucoup à cette salle pleine de gens bavards.

Considérez la cellule DRAM typique : un condensateur, qui contient une charge représentant un 1 ou un 0 ; un transistor d'accès ; et une ligne de bits, à travers laquelle la charge du condensateur est lue. Au fil du temps, dans la recherche d'une densité, d'une vitesse et d'une consommation d'énergie accrues, ces structures sont devenues plus petites et, ces dernières années, ont évolué vers des conceptions 3D. En parallèle, la tension de détection (∆V) et la capacité de la cellule (Cs) ont été réduites à chaque génération de technologie, nécessitant ainsi une réduction similaire de la capacité de la ligne de bits (CBL).

Dans notre analogie avec une salle pleine de personnes, ces réductions sont l'équivalent de la personne que vous écoutez parler moins distinctement, ce qui rend encore plus difficile d'isoler ses mots. Et des dynamiques similaires sont à l'œuvre dans le secteur logique, où des capacités parasites de plus en plus importantes (à la fois entre grilles et entre grilles et contacts de grille) ont augmenté le risque de diaphonie.

La diaphonie est avec nous depuis les premiers jours de l'électronique, et heureusement, il existe un moyen bien connu de l'aborder : l'isolation. Dans notre salle bondée, cela peut impliquer de placer un écran anti-bruit autour de chaque personne ; sur un circuit intégré, cela peut souvent être accompli avec de meilleurs films diélectriques.

"Meilleur" dans ce cas ne signifie pas seulement une constante diélectrique inférieure (k), bien que ce soit un facteur important. Les films doivent également se déposer sans risque d'endommager les autres éléments du circuit et pouvoir survivre au traitement thermique ultérieur, à la gravure, au nettoyage et à d'autres étapes sans aucune modification de leurs propriétés. Ils doivent être sans défaut et homogènes. Et à l'ère des caractéristiques des circuits 3D, l'uniformité de l'épaisseur ne suffit pas - les propriétés d'un film doivent également être uniformes même lorsqu'il est déposé dans la dimension verticale.

Il y a aussi un facteur supplémentaire qui entre en jeu : chaque organisation de fabrication de puces avancée fait face à une concurrence intense et s'efforce de développer ses propres méthodes uniques pour obtenir un peu plus de rendement ici, un peu plus de performances là. Les ingénieurs responsables de ces ajustements de processus bénéficient de la polyvalence et de la flexibilité des films avec lesquels ils travaillent - la possibilité d'ajuster la composition d'un film pour obtenir différentes propriétés, y compris la sélectivité de gravure. De plus, la densité et la complexité plus élevées de chaque nouvelle génération de technologie rendent ces gains de performance et de rendement plus difficiles à atteindre. Pour reprendre l'analogie de la salle remplie de personnes, c'est comme si la salle devenait de plus en plus petite, tandis que les gens parlaient plus fort. Il y a moins d'espace pour l'isolement mais plus besoin.

À l'ère pré-3D, les ingénieurs de processus et d'intégration à la recherche de solutions d'isolation pourraient se tourner vers des méthodes éprouvées pour le dépôt de diélectriques planaires accordables ou de SiO2 et de nitrures conformes. Mais aujourd'hui, il existe un besoin à la fois d'accordabilité et de conformité, ainsi que de la capacité de déposer des films contenant des liaisons Si-C, telles que l'oxycarbure de silicium (SiCO). Ceux-ci sont nécessaires pour une plus grande sélectivité de gravure, qui est un facteur de plus en plus important dans de nombreuses applications, des espaceurs de porte tout autour (GAA) aux diélectriques BEOL en passant par les processus de lithographie avancés. Dans le même temps, on s'inquiète de plus en plus des dommages causés par le plasma aux caractéristiques des circuits.

Alors, quelle est la voie à suivre dans la quête de l'isolement ? Une avenue est une nouvelle technique de dépôt, SPARC, qui s'aligne bien avec ces besoins émergents. En plus de maintenir une composition et des propriétés de film uniformes sur toute la profondeur des caractéristiques à rapport d'aspect élevé, SPARC permet le dépôt de couches minces SiCO hautement conformes, qui offrent une isolation efficace lorsqu'elles sont utilisées comme espaceurs à faible k dans les dispositifs logiques et DRAM.

Au sein de la famille SiCO, la méthode SPARC permet un large réglage de la composition, tout en conservant une excellente conformité. Des films de SiCO denses et robustes avec un k d'environ 4 à 4,4 et une faible fuite peuvent être déposés directement sur des métaux tels que Co, W, etc., sans oxyder la sous-couche. Les films présentent une excellente adhérence tout en étant hermétiques. Même à des températures de dépôt basses de 400 °C, le carbone est entièrement réticulé avec très peu ou pas de groupes méthyle terminaux, offrant une stabilité thermique et chimique par rapport aux autres films SiOC.

Il est important de noter que tout cela est accompli dans un environnement sans plasma. Les radicaux à l'état fondamental en aval n'interagissent qu'avec des liaisons spécifiques dans des molécules précurseurs soigneusement choisies. Le choix des molécules radicalaires et précurseurs rend la rupture de liaison sélective, créant ainsi des radicaux précurseurs qui ont des coefficients de collage très faibles et par conséquent une excellente couverture des marches. Les liaisons Si-C ne sont pas rompues lors de l'étape de dépôt et tout O, N ou C lié au silicium dans la molécule précurseur est conservé. La conception et le choix du précurseur sont guidés par le type de film souhaité, pour fournir ce large réglage de composition.

Au cours de ce processus, le rapport des liaisons Si-C peut être augmenté, avec une réduction de la densité des liaisons Si-O. Même avec des films déposés à différentes températures, la quantité de carbone réticulé est le principal facteur de sélectivité de gravure par rapport à la densité ou à la quantité totale de carbone dans le film. De plus, ces films SiCO ont un taux de gravure humide (WER) nul dans les chimies humides typiques telles que le HF dilué et l'acide phosphorique chaud, et offrent ainsi une sélectivité de gravure humide presque infinie. Les films sont également continus et sans trous d'épingle jusqu'à au moins 15 Å, contrairement aux films ALD SiN qui doivent être d'au moins 30 Å pour être sans trous d'épingle.

À quoi cela ressemble-t-il en pratique ? Revenons à notre exemple de cellule DRAM : comme indiqué précédemment, la baisse continue de la capacité de la cellule d'un nœud à l'autre a entraîné une réduction correspondante de la capacité de la ligne de bits pour améliorer la détection (c'est-à-dire la capacité "d'entendre la cellule parler").

Une composante importante (peut-être la moitié) de la capacité de la ligne de bits provient du couplage entre la ligne de bits et la cellule de nœud de stockage (SNC). Depuis le noeud 20nm, l'utilisation d'entrefers est un moyen de réduire ce couplage. Notez les lignes vert clair de chaque côté des entrefers - ce sont des films diélectriques qui doivent répondre à de nombreuses normes strictes, notamment la conformité, l'adhérence, l'herméticité, la constante diélectrique et la tension de claquage. Les caractéristiques du SiOC déposé par SPARC permettent un couplage à plus faible capacité que les matériaux traditionnels, et donc de meilleures performances DRAM.

En logique, les espaceurs de grille sont connus depuis longtemps comme un moyen de réduire les capacités parasites à la fois entre les grilles et entre les grilles et les contacts de grille, réduisant ainsi le risque de diaphonie. Le concept d'entretoise a été repris dans les architectures 3D gate-all-around (GAA), mais il y a une ride supplémentaire : le matériau de l'entretoise doit également servir d'arrêt de gravure latéral.

Encore une fois, la combinaison de caractéristiques présentées par les films SiOC déposés par SPARC correspond parfaitement à la situation. En plus de ses propriétés électriques, l'anisotropie élevée du SiOC et son excellente sélectivité de gravure offrent des performances de ligne de fabrication améliorées par rapport aux autres options.

Dans ces deux exemples, la minimisation de la diaphonie n'est qu'une considération parmi tant d'autres. Mais il est important de garder à l'esprit que cette minimisation a une grande importance pour le processus global de développement du circuit, car elle réduit la charge sur le condensateur et le transistor, ce qui leur permet d'exécuter plus facilement les fonctions souhaitées. D'un point de vue global, cela signifie qu'il est moins nécessaire de rechercher d'autres voies pour améliorer les performances, qui ont toutes nécessairement un coût et peuvent introduire de nouvelles complications. C'est un excellent exemple de la façon dont une mise à niveau relativement subtile à un niveau fondamental peut avoir un effet de levier considérable.

La grande flexibilité et adaptabilité du procédé SPARC ouvre la porte à une large gamme de films et de compositions conformes. Par exemple, il peut être utilisé pour déposer des films de nitrure de carbone de silicium (SiCN), toujours avec un haut niveau d'accordabilité. Des films conformes à base de bore de haute qualité, tels que le carbure de bore (BC) et le nitrure de bore et de carbone (BCN), ont également été déposés avec succès ; ils offrent un comportement de pulvérisation et de gravure différent de leurs homologues à base de silicium.

Une application potentielle particulièrement intéressante concerne les techniques de modelage avancées, telles que le quadruple modelage auto-aligné (SAQP), la porte et le contact auto-alignés (SAGC) et le via entièrement auto-aligné (fSAV), qui sont en cours de développement pour la production de plus en plus structures 3D complexes. Tous s'appuient sur des matériaux avec une sélectivité de gravure distincte pour atteindre de nouveaux niveaux de précision de superposition ; ils nécessitent essentiellement des combinaisons uniques de matériaux d'espacement, de masque dur et d'arrêt de gravure, à la fois plans et conformes, qui présentent une sélectivité de gravure presque parfaite les uns par rapport aux autres dans diverses gravures au plasma et chimies humides. Les films basés sur BC et BCN sont de bons candidats car ils fournissent également un k, une conformité, des propriétés électriques et d'autres caractéristiques appropriées.=

De même, les films déposés SPARC à base de carbure de silicium (Si-C) pourraient s'avérer très utiles dans la fabrication de trous de mémoire NAND 3D car ils offrent une bonne sélectivité contre les oxydes et les nitrures, ainsi qu'une accordabilité. Et dans toutes les situations où le traitement à base de plasma présente un problème, la possibilité d'utiliser des radicaux pour créer des films de choix peut mettre en jeu de nouvelles options intéressantes.

Peu d'industries évoluent plus rapidement que la fabrication de semi-conducteurs, ce qui pose des défis permanents pour le développement et l'intégration de la combinaison en constante évolution des processus de production. Alors que l'industrie continue de faire face à de nouveaux problèmes comme l'intégration 3D et à des problèmes persistants comme la diaphonie, il faudra de l'ingéniosité et de la créativité pour suivre le rythme, et des outils innovants comme SPARC qui soutiennent ces efforts pour garantir que chaque élément d'information est entendu clairement.